<th id="xtdb2"></th>
<menuitem id="xtdb2"></menuitem>
      
      <address id="xtdb2"></address>

    1. 成都子程新輝電子設(shè)備有限公司

      子程電子

      ZICHENG ELECTRONIC

      PCBA定制加工一站式供應(yīng)商
      專為中小企業(yè)提供PCBA一站式服務(wù)
      電話

      咨詢熱線:

      服務(wù)熱線:

      131-8386-5499

      131-8386-5499

      行業(yè)新聞

      當(dāng)前所在位置: 首頁 > 新聞中心 > 行業(yè)新聞 > 芯片封裝技術(shù)重大突破,芯片封裝更簡(jiǎn)單

      芯片封裝技術(shù)重大突破,芯片封裝更簡(jiǎn)單

      返回列表 來源:官方
      掃一掃! 芯片封裝技術(shù)重大突破,芯片封裝更簡(jiǎn)單 掃一掃!
      瀏覽:1156 發(fā)布日期:2020-12-25 17:39:31【



      先進(jìn)封裝的前景是實(shí)現(xiàn)異構(gòu)芯片集成,但是要實(shí)現(xiàn)這一目標(biāo)還有很長(zhǎng)的路要走。

      封裝正成為半導(dǎo)體產(chǎn)業(yè)鏈中最關(guān)鍵的一環(huán),但卻難以實(shí)現(xiàn)技術(shù)和成本的兩全其美。

      封裝的最初功能僅僅是為了保護(hù)內(nèi)部芯片不受環(huán)境因素影響,也僅有封裝能做到這一點(diǎn)。但是在先進(jìn)封裝節(jié)點(diǎn),隨著使用不同工藝制程構(gòu)建的異構(gòu)集成元件,封裝正發(fā)揮著更廣泛也更具戰(zhàn)略性的作用。如今許多新型封裝技術(shù)以應(yīng)用為導(dǎo)向,也是系統(tǒng)架構(gòu)中不可或缺的組成部分。它們能夠幫助傳導(dǎo)熱量、提高性能、降低功耗,甚至可以保護(hù)信號(hào)完整性。

      據(jù)麥姆斯咨詢介紹,先進(jìn)封裝技術(shù),區(qū)別于傳統(tǒng)塑料或陶瓷封裝,是為了提高先進(jìn)節(jié)點(diǎn)芯片的可靠性而開發(fā)。多數(shù)情況下,它也是克服諸如熱、靜電等物理效應(yīng)受限的替代方法。對(duì)于多芯片封裝來說尤其如此,其中尤以三維(3D)封裝最為典型,它允許處理器使用高速連接來訪問位于它們上方或側(cè)面的存儲(chǔ)器。這種方法可能比通過7nm的細(xì)導(dǎo)線從大尺寸芯片的一端向另一端發(fā)送信號(hào)要快得多,但這種情況下,將會(huì)出現(xiàn)電阻過大從而引起熱量增加而聚集的問題。此外,該技術(shù)還允許芯片架構(gòu)師將存儲(chǔ)器封裝分散于整個(gè)封裝過程中,以避免資源爭(zhēng)用,而資源爭(zhēng)用則會(huì)為集中式存儲(chǔ)器的設(shè)計(jì)帶來布線問題。

      但是,與SoC(System on Chip,片上系統(tǒng))復(fù)雜的開發(fā)流程一樣,封裝技術(shù)也開始變得越來越復(fù)雜。雖然在過去幾年里,先進(jìn)封裝技術(shù)在服務(wù)器芯片市場(chǎng)和移動(dòng)電話領(lǐng)域一直不斷發(fā)展,但目前看來還沒有足夠的共性能占據(jù)主流市場(chǎng)。其中包括以下幾個(gè)主要原因:

      1)大多數(shù)采用先進(jìn)封裝技術(shù)的公司都在嘗試突破性能限制,但隨著摩爾定律演進(jìn),功耗/性能優(yōu)勢(shì)下降,在此過程中他們遇到了很多困難。對(duì)這些公司而言,成本不是問題,性能和尺寸才是。

      2)幾乎早期的所有封裝都是定制化設(shè)計(jì)的,使用非標(biāo)準(zhǔn)的封裝方式。這需要芯片制造商、代工廠和/或封測(cè)廠商之間的密切合作。雖然該方法被證明是有效的,特別是對(duì)于移動(dòng)電話和網(wǎng)絡(luò)芯片等應(yīng)用領(lǐng)域,但這些封裝技術(shù)是使用非常特殊的元件為特定應(yīng)用而開發(fā)的。

      3)大多數(shù)主流芯片制造商,也就是指那些不處于前沿節(jié)點(diǎn)的制造商們,在已有的節(jié)點(diǎn)制程上的功耗/性能提升空間仍十分充足,而絕大多數(shù)芯片都是在這些節(jié)點(diǎn)上開發(fā)的。這得益于代工廠一直積極地為這些節(jié)點(diǎn)增加選項(xiàng),目前它們的成本仍遠(yuǎn)低于10/7nm甚至16/14nm節(jié)點(diǎn)工藝。但是隨著更多標(biāo)準(zhǔn)化封裝的出現(xiàn),這種情況將會(huì)發(fā)生改變,因?yàn)樾酒灸軌蚧旌虾推ヅ洳煌脑?/span>

      關(guān)于哪項(xiàng)工藝在哪個(gè)領(lǐng)域能夠有效發(fā)展的共識(shí)開始顯露。在過去的幾年里,隨著封裝廠和代工廠使用不同的方法將芯片組裝在一起,封裝技術(shù)幾乎一直處于不斷變化的狀態(tài)。即使在相同類型的封裝方法中,例如扇出型封裝,也有芯片先裝和芯片后裝等不同的方法。同時(shí),也可以在扇出時(shí)添加銅柱,就如在準(zhǔn)三維集成電路quasi-3D-IC)配置中,在邏輯器件上添加內(nèi)存堆棧。

      2.5D封裝中,硅內(nèi)插器(silicon interposer)和有機(jī)內(nèi)插器(organic interposer)利用重新布線層(redistribution layer,RDL)中的互連橋或者英特爾的嵌入式多芯片互連橋接(Embedded Multi-Die Interconnect Bridge,EMIB),通過各類元器件達(dá)到異構(gòu)芯片之間的超快速封裝內(nèi)互連。詳見《英特爾嵌入式多芯片互連橋接(EMIB)》。

      “封裝技術(shù)現(xiàn)在是系統(tǒng)運(yùn)行的主要部分。”ANSYS首席技術(shù)專家Joao Geada說道,“我們現(xiàn)在已經(jīng)逼近經(jīng)濟(jì)成本上的極限,摩爾定律已趨近失效。當(dāng)需要建立大型系統(tǒng)時(shí),不是把所有的資源都投入到某個(gè)單一工藝,現(xiàn)在的問題是選擇哪一個(gè)工藝最經(jīng)濟(jì)實(shí)惠是我們需要考慮的。通常,在現(xiàn)代系統(tǒng)設(shè)計(jì)中,關(guān)于該問題有多個(gè)答案。例如你可以通過將事物定位到適當(dāng)?shù)倪^程從而進(jìn)行優(yōu)化,但這也意味著你仍然希望保持與之前相同的近似足跡。所有這些都必須集成到單獨(dú)的封裝中。這就是為何會(huì)有層出不窮的新挑戰(zhàn),因?yàn)樵S多這種假設(shè)是可以在不考慮環(huán)境因素的情況下,單獨(dú)預(yù)測(cè)設(shè)計(jì)的行為而建立起來的,很有可能無法實(shí)現(xiàn)?!?/span>

      實(shí)際上,分而治之的設(shè)計(jì)方法能夠?qū)⑼恍酒系哪K轉(zhuǎn)移到封裝中的芯片。雖然封裝可以減一些物理效應(yīng),例如大尺寸芯片的片內(nèi)差異,但同時(shí)也帶來了一系列挑戰(zhàn)。

      封裝技術(shù)層出不窮,哪一種最適合?

      市場(chǎng)的強(qiáng)大需求也導(dǎo)致了人們對(duì)最佳封裝選擇的探索,系統(tǒng)公司、高校、設(shè)備制造商、代工廠和封裝公司在該領(lǐng)域的研究做出了巨大的貢獻(xiàn)。

      “我們可以看到如今更先進(jìn)的系統(tǒng)級(jí)封裝(SiP)、扇出型封裝和2.5D晶圓封裝的引入?!盫eeco全球光刻應(yīng)用副總裁Warren Flack表示,“它們是傳統(tǒng)倒裝芯片市場(chǎng)的佼佼者,并將繼續(xù)呈現(xiàn)增長(zhǎng)態(tài)勢(shì)?!?/span>

      向更先進(jìn)的封裝的轉(zhuǎn)變帶來了幾項(xiàng)挑戰(zhàn)。例如,高密度的扇出型封裝需要更小的間距和更精細(xì)的重新布線層(RDL),在封裝過程中提到電連接的作用。最新的高密度扇出型封裝技術(shù)正在突破1μm線寬/間距(line/space)限制。擁有這些關(guān)鍵尺寸(critical dimension,CD),扇出技術(shù)將提供更好的性能及更優(yōu)的成本。

      “具有較小CD的重新布線層能夠減少扇出型封裝中的重新布線層數(shù)。”Flack說道,“這又能降低總封裝成本,提高良率。目前,1μm RDL的需求量很低,但我們預(yù)計(jì)未來幾年將大幅增長(zhǎng)。”

      在封裝中使用更精細(xì)的RDL CD帶來了一些挑戰(zhàn),即光刻技術(shù)——一種在芯片和封裝結(jié)構(gòu)上形成細(xì)微特征圖案的方法。

      “縮小特征圖案需要用較短波長(zhǎng)(i線或汞燈)進(jìn)行曝光,并需要較大的透鏡數(shù)值孔徑Numerical Aperture,NA)?!盕lack說道,“這些先進(jìn)扇出型封裝未來面臨的主要光刻挑戰(zhàn)是以高縱橫比對(duì)亞微米R(shí)DL成像,最大限度地減少因芯片偏移、極端翹曲的襯底處理以及支持非常大的2.5D晶圓級(jí)封裝尺寸而產(chǎn)生的重合誤差。良率和生產(chǎn)率要求都促進(jìn)了采用先進(jìn)扇出型封裝技術(shù)的成本上升。只有非常高的ASP(平均銷售價(jià)格)設(shè)備才能負(fù)擔(dān)得起這種先進(jìn)的封裝技術(shù)。”

      預(yù)計(jì)這種情況短期內(nèi)將會(huì)持續(xù)下去,但研發(fā)人員也正在努力為扇出型封裝和扇出型芯片組封裝技術(shù)提供同樣的優(yōu)勢(shì),因?yàn)楝F(xiàn)在使用硅中介層的2.5D封裝技術(shù)更昂貴。例如,ASE報(bào)道稱其推出了一種名為“Fan Out Chip on Substrate”(FOCoS)的技術(shù),可以支持高帶寬存儲(chǔ)器(High Bandwidth Memory,HBM2)。過去,只有2.5D封裝才能采用HBM,它也是堆疊式DRAM(動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器)的封裝技術(shù)之一。ASE(日月光)同時(shí)還向大家展示了用于異構(gòu)和同構(gòu)服務(wù)器應(yīng)用以及人工智能芯片和裸片的技術(shù)。

      “對(duì)于這些市場(chǎng)來說,F(xiàn)OCoS技術(shù)將是中介層解決方案的一種替代方案。”ASE工程部高級(jí)總監(jiān)John Hunt說道,“它可提供的解決方案成本較低,實(shí)際上比硅中介層結(jié)構(gòu)具有更好的電氣和熱性能?!?/span>

      FOCoS封裝技術(shù)通過在典型球柵陣列基板上使用扇出復(fù)合芯片來實(shí)現(xiàn),該基板與標(biāo)準(zhǔn)BGABall Grid Arraye,球柵陣列封裝)和基于2.5D內(nèi)插器的封裝中所使用的基板類型基本一致。

       

      1:FOCoS封裝技術(shù)

      扇出型封裝技術(shù)在移動(dòng)市場(chǎng)也持續(xù)發(fā)酵。蘋果是首家將該技術(shù)合法化的大公司,iPhone 7上就首次使用了臺(tái)積電的集成扇出型(integrated fan-out,InFO)封裝技術(shù)。據(jù)業(yè)內(nèi)人士稱,蘋果目前正致力于在扇出型封裝的基礎(chǔ)研發(fā)銅柱技術(shù),以提高性能。

      移動(dòng)設(shè)備市場(chǎng)仍然是低密度和高密度扇出型封裝的主要增長(zhǎng)驅(qū)動(dòng)力。但該封裝技術(shù)也符合1級(jí)和2級(jí)車規(guī)認(rèn)證要求,所以預(yù)計(jì)汽車行業(yè)也將有所增長(zhǎng)。

       

      此外,還有許多關(guān)于整個(gè)行業(yè)都在開發(fā)3D-IC技術(shù)的報(bào)道。與扇出型封裝不同,在3D-IC技術(shù)中,存儲(chǔ)器堆疊在邏輯器件上,通過硅通孔(TSV)連接到硅中介層。

      硅通孔也被用于硅內(nèi)插器中,它們正致力于在硅光子領(lǐng)域找到一席之地,可作為光信號(hào)的波導(dǎo)。雖然硅通孔尚未實(shí)現(xiàn)市場(chǎng)對(duì)其的早期預(yù)測(cè),但這類互連市場(chǎng)也正逐步增長(zhǎng)。

      “隨著邏輯器件和存儲(chǔ)器客戶推動(dòng)采用這些技術(shù)以減小尺寸和改善性能,預(yù)計(jì)先進(jìn)封裝市場(chǎng)的增長(zhǎng)速度將會(huì)加快。”科林研發(fā)(Lam Research)的常務(wù)董事Manish Ranjan表示,“先進(jìn)封裝市場(chǎng)增長(zhǎng)的初始階段是由銅柱和晶圓級(jí)封裝解決方案推動(dòng)的??蛻衄F(xiàn)在采用高密度扇出、硅內(nèi)插器和3D封裝解決方案來提供不同的系統(tǒng)級(jí)性能。集成電路系統(tǒng)集成服務(wù)商(IDM)和系統(tǒng)級(jí)公司對(duì)新型封裝解決方案日益增長(zhǎng)的需求,證實(shí)了先進(jìn)封裝的戰(zhàn)略意義。”

      材料替代哪家強(qiáng)?

      更多的封裝技術(shù)研發(fā)即將到來,盡管并非所有的封裝都能貼上先進(jìn)封裝的標(biāo)簽。例如,需要考慮印刷及柔性電子技術(shù)的發(fā)展。在這些情況下,封裝可以采用柔性基板或使用3D打印機(jī)創(chuàng)建的材料。這些工藝大部分都采用添加劑,而不是在硅上刻蝕。

      “你可以采用金屬聚合物印刷,形成可融合的復(fù)合材料?!盉rewer Science公司設(shè)備工程主任兼榮譽(yù)退休教授Ryan Giedd說道,“這樣效率更高,問題也更少。”

      重量一直是限制電動(dòng)汽車發(fā)展的主要問題之一,因?yàn)橹亓吭捷p,每次充電后續(xù)航里程就更遠(yuǎn),并且可以像膠帶一樣粘在柔性襯底上,比將多個(gè)芯片集成的多層印刷電路板輕得多。但是這項(xiàng)技術(shù)也剛剛起步。目前還沒有好的物理模型來準(zhǔn)確證明這些芯片在各種情況下的表現(xiàn)。

      “這不同于硅基電子產(chǎn)品?!盙iedd說,“該技術(shù)會(huì)產(chǎn)生不同的影響,每種影響都需要不同的模型。也許今天你就不能使用現(xiàn)成的軟件了。”

      2:柔性印刷電路板

      Chiplet模式問世

      小芯片(Chiplet)概念在封裝領(lǐng)域興起,其基本思想是為各類元件創(chuàng)建即插即用的方式。Chiplet模式是為了標(biāo)準(zhǔn)化流程,以降低成本,使芯片制造商能夠更快地構(gòu)建系統(tǒng)。

      第一家采用該模式的公司是美滿電子科技(Marvell)公司,該公司開發(fā)了Modular Chip (MoChi?)架構(gòu),允許客戶從功能菜單中進(jìn)行選擇。該公司的網(wǎng)絡(luò)首席技術(shù)官Yaniv Kopelman說:“我們?cè)u(píng)估了眾多技術(shù)選項(xiàng),發(fā)現(xiàn)最好的方法是在多芯片組件(MCM)封裝中采用標(biāo)準(zhǔn)有機(jī)襯底。該方法有以下幾個(gè)優(yōu)點(diǎn):首先,所有的封測(cè)廠商都知道怎么操作。其次,芯片的數(shù)量或放置方式也沒有限制。最吸引人的優(yōu)勢(shì)還屬它的成本效益。該方法的唯一負(fù)面影響是帶寬有限,但在大尺寸器件上沒有那么重要?!?/span>

      這一領(lǐng)域仍有許多其它研究正在進(jìn)行。例如,美國國防高級(jí)研究計(jì)劃局(DARPA)的芯片項(xiàng)目(CHIPS)計(jì)劃旨在簡(jiǎn)化各種政府和商業(yè)應(yīng)用中復(fù)雜芯片的開發(fā)。此外,Marvell和Kandou Bus又是USR(Ultra Short Reach,超短距離連接)聯(lián)盟的成員,該聯(lián)盟正在開發(fā)一種將各種小芯片連接在一起的標(biāo)準(zhǔn)方法。除此之外,去年秋天該聯(lián)盟還創(chuàng)建了第三小組,命名為開放域特定體系結(jié)構(gòu)小組(Open Domain-Specific Architecture Workgroup)。該組成員包括格羅方德(GlobalFoundries)、Netronome、Achronix、Kandou Bus、恩智浦(NXP)、Sarcina和SiFive等。

      “我們正在進(jìn)行開發(fā)概念驗(yàn)證?!盢etronome產(chǎn)品副總裁Ron Renwick說道,“目前Netronome正致力于研究連接RISC處理器和現(xiàn)場(chǎng)可編程門陣列(FPGA)。我們還在開發(fā)如何實(shí)現(xiàn)這一目標(biāo)的技術(shù)規(guī)范,以及從知識(shí)產(chǎn)權(quán)角度來看如何實(shí)現(xiàn)這一目標(biāo)的商業(yè)模式。該研究可以在6月底完成,之后我們將于9月份在阿姆斯特丹舉辦的開放計(jì)算項(xiàng)目峰會(huì)(Open Compute Project Summit)上展示這一概念?!?/span>

      結(jié)論

      封裝技術(shù)變得越來越重要和復(fù)雜,但同時(shí)在各種有助于降低成本的方法上,該領(lǐng)域也顯示出逐漸穩(wěn)定下來的跡象。各方勢(shì)力都在共同努力來實(shí)現(xiàn)這一目標(biāo),但這也不是唯一的前進(jìn)道路。

      更多的討論和活動(dòng)也圍繞著結(jié)合歷史上尚未解決的技術(shù)展開,例如利用非先進(jìn)制程節(jié)點(diǎn)模擬器件和存儲(chǔ)器的封裝方式來完成5nm或3nm邏輯芯片封裝。隨著摩爾定律的演進(jìn),開發(fā)周期變長(zhǎng),開發(fā)成本也變高,這些節(jié)點(diǎn)的制程工藝開始受到限制。這將標(biāo)志著方向的巨大轉(zhuǎn)變,其影響將非常顯著。


      精品久久精品久久久久,性色AV天堂一区二区三区,欧美日韩精品一区二区播放电影,久久国产加勒比精品无码
      <th id="xtdb2"></th>
      <menuitem id="xtdb2"></menuitem>
        
        <address id="xtdb2"></address>